From ae88f29481c83532f79dfa55d6ec6eb27e091bb6 Mon Sep 17 00:00:00 2001 From: orwell96 Date: Sun, 7 Jan 2018 20:52:15 +0100 Subject: [PATCH] Don't use looped sounds on subway (causes engine bugs with dangling sound handles) --- advtrains/wagons.lua | 2 +- advtrains_train_subway/init.lua | 10 +++++++--- .../sounds/advtrains_subway_loop.ogg | Bin 19691 -> 33319 bytes 3 files changed, 8 insertions(+), 4 deletions(-) diff --git a/advtrains/wagons.lua b/advtrains/wagons.lua index a367487..0616f9f 100644 --- a/advtrains/wagons.lua +++ b/advtrains/wagons.lua @@ -532,7 +532,7 @@ function wagon:on_step(dtime) self:update_animation(gp.velocity, self.old_velocity) end if self.custom_on_velocity_change then - self:custom_on_velocity_change(gp.velocity, self.old_velocity or 0) + self:custom_on_velocity_change(gp.velocity, self.old_velocity or 0, dtime) end end diff --git a/advtrains_train_subway/init.lua b/advtrains_train_subway/init.lua index 2948fb8..b8dfa4b 100644 --- a/advtrains_train_subway/init.lua +++ b/advtrains_train_subway/init.lua @@ -76,7 +76,7 @@ advtrains.register_wagon("subway_wagon", { is_locomotive=true, drops={"default:steelblock 4"}, horn_sound = "advtrains_subway_horn", - custom_on_velocity_change = function(self, velocity, old_velocity) + custom_on_velocity_change = function(self, velocity, old_velocity, dtime) if not velocity or not old_velocity then return end if old_velocity == 0 and velocity > 0 then minetest.sound_play("advtrains_subway_depart", {object = self.object}) @@ -87,13 +87,17 @@ advtrains.register_wagon("subway_wagon", { minetest.sound_stop(self.sound_arrive_handle) self.sound_arrive_handle = nil end - if velocity > 0 and not self.sound_loop_handle then - self.sound_loop_handle = minetest.sound_play({name="advtrains_subway_loop", gain=0.3}, {object = self.object, loop=true}) + if velocity > 0 and (self.sound_loop_tmr or 0)<=0 then + self.sound_loop_handle = minetest.sound_play({name="advtrains_subway_loop", gain=0.3}, {object = self.object}) + self.sound_loop_tmr=3 + elseif velocity>0 then + self.sound_loop_tmr = self.sound_loop_tmr - dtime elseif velocity==0 then if self.sound_loop_handle then minetest.sound_stop(self.sound_loop_handle) self.sound_loop_handle = nil end + self.sound_loop_tmr=0 end end, }, S("Subway Passenger Wagon"), "advtrains_subway_wagon_inv.png") diff --git a/advtrains_train_subway/sounds/advtrains_subway_loop.ogg b/advtrains_train_subway/sounds/advtrains_subway_loop.ogg index 1a7021ab14f5c14269b287f54cb6b13ce5ec7b2e..2543cc2ee1f924f8f513cefb13d3635ddc46fe37 100644 GIT binary patch delta 29605 zcmY&eQVxwnn^d|9abHGdo9!xo&&AH#M+@!)Hpe9vVVW)`>2 zfi6Gi$cF~I^UHPqj&&ikE*EqaoEibhnmpzkN^=@=a0f&c7t(5 z4GL!p(?Wnpm-9PF(Ah``EZC1kkTR0~_+P;XA14X1C|CSSrypu>*< z@wX6v48d(j&4xR$f0x;R$M0r-L&QVg+MZE=P1^701Q@~NR6U7aR@5T0h0~2nUXqI* za^?>SQRiN_6j1&~k1li8sL3UVCeZF>-h~?ysOhZ)DtP>Qo z_9TS(LVoJ^-2!CQY*QIKwrt!tlZ~prSV4QMg*lqQD97t-QdVT*c)wh1insj_wCJy?#zcegf%+{pJEcOeT3ZtFwPZz-aV+AG1 z6cl8FEeS5)Qo=Hc;5nY*r7zOTsUY` zywgn>`{z{9{Vs=tm1i42iMzw8QDz9(>e*aCb~CV=&f)$t8r!t!l0 z>K4K!wcGxSB@75u^nl^8{YyaKKU8ipS5tU7@@Z$oQb|g;bUCTL24-9>X^h2TX$_uy z%yFgqjb1a}zC*-`uKlN_Dca7gjU9qx*)HMP|WD$0xaxWTRF2I(F>60!W z87;Rp3PL3SO+dU`MV#VXuKL2=c$2(;&x0sIQjZ;s@n%GNG#-BG$|R}sWF;OY;9!L@ zSx>LMJgFaR6k1_-ZP}%T1A*+DuMOXur{0vJ`ZbwCu2gx&0LAQ}Gs0;kF2QeN_8IWa z1!0|g-&L&Mw&5>@#_o$l%{wIxj7)f!iNQ(2@f2RIn}ZuGg=bj9M@0Cu!UII-*i2P> zPk!T`E8l8_Zy667d5AWoSFUqRe{N7%*(gBb0u1kzTQn zr!NeMh4eztTBzjz`}%WL?w9%7!&(PUrb#eogN?H1S}e=BIxE8`hMw*#)m_b!{3e=N z3dWB?aM$FxscU>0v0bB(s$!!gz_zfzRi2<3#=;e80mk`yjLA-#9H9zaW0LxDO|dmL zBTHT9JA}FQevf>QaRim@b)F((mBH?~ru*CJPzT7;yY&xMhITVle-~L!a%aZBHbRhj zJ**lr?FRhsoS+TyS8}df#ZRlwX$r_mZUe$&oq(J4^9*YAd?Cuq}Iq6vJnCLI=Xh z+wI$UU0LVfhoc25#u>cGGRh$o_$;c((YB&QfIv)I?%Mb0r=I9!w$l0J@JrAz65)P% z;Bysvy4U(NGlSA?&9nsV-OJ+Tea3@1K6Saw9BkLWBEu_C?vnYL+N869F1U{z=OIC( zT_ucdZ~2 z!1lt>eT$vVDx36r8p>|=kR|4Oo}k4|6>t|huVvRNGI+i8lfqN6W2+@c@=}c_mtqha zleeiQNjrhJ`dYgXpgtcxIlPZ(5gS>P$g;NVvD(^91_hsA4Z1W%(3|8X8;%fC4x?jKK+DQ%Kw9?21G_Xt<86^CBc!_ERH!ggFfsUm^oxKuzwD#Aw zYjR8fsWcc2Vtr9>2bt!vfv_8qnq&&}Eny^z1niuD%A+u*GIhF`rp`j^Pdq`UjK6)q z;Nx#Fx|6sgu!o<+VJ6euvE%SypykRtz8on+q5tsMrDvLB z7@d^R(BS4y>0{JanfIa~oET4}P0ekh(heirToY2xj~@#I&qCLzZtak`FnqM{UU6z) zOkI+OM+nx1W#!`>TIrPt-W9S{zDo_zS*QZ;1daAz2&hyT(zccUOzE+boOB zH>V7C+Z_s8;`0tKk4tcHlFnEU55|lu^HZ5E!y~^U0aM6f2ORPplGsZ6y0LluY z&eMBt&%5JDR2Z{|w$o2`c{F&CZ+wcAwew|x4jv;cd%cKNV;zF@4TkbMb7UwOpJ&BS zRixlUKMJ5Y&<8({DGp{~L}x!^7{AR!q7!RD$!;Ky!U`*Z>p+ivy*L*J_<03+cdu!b z<|>`D=>BNonLs-wwc@mhGEyW}pW*D-VCRM_q~7J~t8`s}#ZC7v?PsFf5S3Z(spJbt9lWZk zSm#$r+0)i3>R|yBe_K0i9i`VxtJS%(ZJl03gUU_Sm*1lo4Z&o%DkVtIWhnE(p@lWqBkRLTC%JK9YyEt|QVbNHFS0NLR#H~^vuA7Tvpt%kMZ(}e8 zXSlY`aq%p%zTaYxH)<^oR_c2pf(|HVS^+^}n~nO1J_CgG!WBFY~Ol?oRwYx5Vc#lM^`NC6Qh z>@v;u4n_#Ld?o$wvohF(ZZ)SrZMN{b(2qJyH@|A!#rz=YztMO~ca+GX4$mH>NdxZ1 zR&WS3eBpOG(>Y3yoQIR1oxJi* zM<*;Q`(1&J`GlLJdX4%0xVgDbFkmiosay{V3bgqlkZ6lT(`o`Cb93E_$m-D9NB3-(jus2@XPR}NM z&M4LJPkt7aW{p$aA9VH0tm>qp*HN^hT5hdst)>z8ibeDrj}=auHum!Q3IM9@1T-63o^qXmr?Iq4%nw6K(@)ag@>rg zs>Oo3q9^L#N3PHNqIBB%@6IRQfI#jW&%a}-Yf;)0>Iqm(OdwdFzex9IrDVp^Q+yH& z%d7#v3NoZ{%h6bHFmT$LfeyHS#ZHr_yUagb3N$b%lH?u@(B`^@3HiDBc;TacG7n|q zU&{Ciu}Cd3pizmc$7cpcum;uo8B8N@sjs1iVW$3W8{|X{(a4aCsd*-=hUGw_q#k}3(zNs?Cjqt2XfJZ zI_AC<@P!l5^%_37u;6D$?D9KGQp^cij#fms?`lk@f1f$*8a?_w)lX@J>B;bGF@hQ{ zIh<3Ts*OgZ6Dsha@@6=D@Ojo8O7VuShLN6~r8&RgcqSVU_8kq3+c$`}wlXJ{^kb8^bP*M^4K}Nn?d%gD;K?bkal=@&H*dtTL z`FN!_U6;XPyW}7FT!4O`Sb8;;#(|GmfMU5p4Z?*2e=9$IrKUv73_pZmu&OE?TQ1K0 zpE;DHGv%F;T{z4MT>#_+`X4d_)EW5+9G~ky!T|yVfIzVl$_ZF>Ts(Jci}M=?vr9V* zYb*QHORLL^yLv-GG1hZoLWCb*K>kIKe0Ec^Z2eAtG9~4d@7DVhgocSrQuSb7cwnzC zGdN17fbqPiqTluAEr->j;+RVbOI%Ab4FC{)oT9}QDi@N-bM*#Zr@Bsf#6}Xk4-^Btvf)B)Qt&7!v*?%p^xoTSE&i+etYA=`efGbKRNT-DP(@t(S z`7GRsxXioP&78Fcf_2-LRDeeaw=ET>@PSQuLy!;1E;H}RmMGFvD;|2tb%eYh-a-V&BA+pw z-D^5~V@B)0V{|y7wK@vKuUF9@6=lzC(=0mT`LiKO8XTRF_ObGZ;gw@{k6hiae4!tC z1|vIOj|l&3d78&NW(3REe$`+nGs}>cL#p*TigN)?zl^Xm+2D5-Qe!WMn`6C*{qrs$ zYrp|fyvW{wirulKu4A=f_2R-Z_iCn!wy#H;qyeV3JXY_z2wyMhZ=fu(PwCt+%I%v0 zi$cJIrlAfr6{HG#WVVJD+8>I|SP-I3rI6dhLxOs}g=I>zHVS27rq2dmM}R@WzWasm zz&TCl5`qr(9V@a-kQ6qC>wE1p9-7%_#}UK@M<(4yvZfaA;PF}8!%a5RMdtpSA1%YV zJ?f(mWjIgu2x8jl7v!#Kk+Fkf^?V$7I6{^SkZja3*5-zG9l)3k3?py+!dlgYe`|mC z%JHvG6OD7^3_TPg;L8Pgek}7EcUruM(y_oXsq$}zur@C^DW%pUb?@eOU1B2O0csr^ zj4gf!JqgoCoAVWC*4y6@{9q)ogU%N|z_TF01zi@M6s|~ngSh?qW{!SkT@&S%{bm#M zUt7|09G)I~Vw(@p^Triu1vrykkrN%W>;@94q5=)H^G>*A`!5yaC^V!j zHu_s3C~?I`mXW|oxsqV>DuMIrPOlcYgQmJ+-%#i%Q!-)8v=v-hT3n6b-)fRQMFr`t zOlt%1X5-7rkVJ5iAZXD0OZY88BfmcQ#Ii{pf;lOJI{sd((H9D^SX$u7v0g1hOC7jB zk!ldLEoTZJ($-wl{l`jz^Q8$3gz4K|ayX$CEZLO>UR(I1fsK87$9mp*&+cw({I#dv zrPOHBoJ3fRc9h|*bSDv=yhb$-R@MSN-UFz7`kL_%1!c^^uX%P!g)9N1BYfKa;$L#q zlFLzOvM8qXQq*~^VhUiew>};Ry6x{tzvy8+hI3i3n@e6O4oz0bY|!ln*nY9D_RZXq zo(P}5?eQ49j7u&%TWUxAmPYTa!2)G?I`^W?zD?@W)nv5J$x`E^W~emAO@$Uwf|Z2t z)+}7Vzqr5Fy)nMoxSL#C)tn7%sgBt57)`9rOt5_iWszDx;Q`kvIpxYJ2+%`e1t)HM z>x+tOT8LEO>6lmsNCLG0T&YZD8_4i-!DLl7P~523phf=e@TG%M5IOh~|5UK)3oOUf zZ>GPEK{}Skq?r23S{Vcx^P8>HEC%N`EX(T0XnO+?;S1|)wQ3e&%=1_GJfb)yY%>&< zFj#qqto_Z>3;^=UY%fv=WsTda_D+gY0Tbo(%4n>=DmPqeLB!x4HkvZ&kNSJ02Gx zYUwfmlBS(DPZ3)$kI~VQNJ3oN#U8Ik3wm?L!WHEn`#>`&1?&3V-Fjx;euvKZ2DMx@ zM1tMRmk-cx(&#~eJ`MeB;9WbR6U=t1xGYvIF(!_pD=EN&eCxHo1sR;nE|-&7U)R6? z1tWzAwObEVcnYB=wpv*mvz_ZFdNiA z2Pj05r-64T6ZnHbojY{Hat*7@LLUV%cjr-%o(IEfqq(t&$V*z&?gjZqKE#NoOzyL` zSNxMOU%lWF3bk7}f8^^&{cB77&cANj!y)Xvh>%z3o+6s1#=Z|6mom2g*Q{o4WI|n z2V+k!)=VR5Y}o1v%<>qu?L*%fH0__Fa;&s`>8;6LbNW+e zpU!mReaZ(xx?Nk2Ky~15vfVCTD->c-So3D-1LkelfptxBx~*!&(R=ie4NGJF(ko|> z;7TGy6H&Ni0)seFF@>))F=t4R3ACKD*0%Exmi38WYZKV~$-D#ed@Wl!u7lzJ?2b%^ z?c_a4sH``G4ZgQyilnIUTp8PhsG-}7`X1Ke{M>2P`p?jaf3A+T_}j@yIphoiEG- z#sMZIAH)*1DxF5`k0A@GutQ|mDD>E6R;M0Eh`#MFf*kMtU3@D`%Q{vM>J@_69&zI+ z*$^o0a?ZQV<`2lBA-+aHYYn0A5xkS`G=-1x4;ct1+$%-B=hvgxk&nXrkC>4ScVX^p z#Y!ESxvktg2UFuD@jp3`bIW=&pB0?mO4qZOv412!;n^(L*RUWe%wR4150uSz-fO;W zB$Wx4Wb!*rAg0`mxrXMU6)BtuF}>$Xd-Ve%3J|`AbFLFG;td6ci@5#GSk|=1QVnR# zX>0qCrF-VN0B7B(VQ}x${wTAHZ#9?WI&DO;W_x?1$9ffIu207oqRj={#{5tdibPrB zf@z_rraZH*!4or-s7W9$g7p`UL!4RuWES7tHq!vOEz?uOSn-oj#e_w-?ZxYIe(NU; zvykFOdPA$+izC2zVpKSMX;mH`Vod`AZF*9_@10dKW#?N_VmRz153G+O{&MP^H{gr= zg|i{)QBBR4T)>azOTbxW9Hx1&gT3sZi9PN(-O8qfW1DRyna0=(-KIBRE`jdqHgNl~ zLSpE}G3tt1@G>+wuhXAI{_Pc(B1!{2lsqlMg6ulJ`x5XBlJuOX-w=Ug8bz_$85vaM z0^ywr|6m@t>t9U%o#z;*uX{8Q33*!c6~wLg$xF*QzcL>_j0J)bKAF&hwzvd_sPpUW zD8bnA-uLdJv@;Y7Gc$lkZxc1IyZD+W7d-c;NO`pppJOHFPsW8|K(Xt&X>stXDZpgA zHTm}s8UV3djG7Qe3Dyj)<(G;j)!3gQ=(D#ZXT3tF%r!18E0Lxz{=uX60bOkR@Ts%} zGKF$uOd7(DKOW<=@2((6Oq_l!4i05flRGzLDNAJ1=;Ml&uQtO_a{C<(+%r(;no)*; zjzin_b*)4RItCuCp>`@%xu|^IPn{rOcj#k?Qvg^FKN8k?R*?&4{5EQ>t2G{9(nAvzR ziSG+XoL0Wc{n(Vu67w)+skzJtW(1wp3@BL?hQ~t+^wXuivA69p1rY;?9_E^M%L&i& zP9d&;T@A<4*YzNy;7Ej9t+w+)Ab1evz^>Riqo>cBjtOk?sDu$0HUX2Zr1~UBO(Xmn zi`*=h6n`!$TomMnOe!G%ijY?mki0=xyuoW$n>d^_>pFk^rD%T3sX)qbE8g@MKtUbN zl*n=EhKfr+9BM80!V%#qKez)+7dMHoK=WOJV@n8midRRj~(7FGU)rkBhj*u zN&-8{5OtInGJ&ujvGNcR40_UtFabzy(_fs69V*|4?mQ=muKo8IV&M#cz(Gd{B}Z$g z8*P!u1pU0fSQ=A(dF%Bu!+c+0RLC&gppxX*J)Jj$b|(h(lY$F!qqOK@qw7^o(90=5 zLy-Wi+waxP%xCvr^kydPZ(Jg`!?7u{I8X)Ztv#Fs0|xHxu?|_=}h{2WDLL8#T=WdTh>m&}u(|c|+aG ztUTtAIdX@G{ijqtjKD*aTgiFwHfNS2jX6dp0}sIzle`JZv~i^cdNv;R$#Z27jONK= zGo#fwghXhFFu!|T*hRGJaV3Hp{)auO1{jRKbGo33 zgWe>w-YBBFki$x*lNu~e{Qf<@OOpJxeL@IPb&TLg6U7$eV+auP? zwXu-tf|V@Om6ydr3V_=SvAE6mEV=so*siQ#BUgO;+hxQRO=VZrG&0Hk8 zALH{6r_QJS)1+r#gJ&^Cs>?%Yj(;`AY;N|`2t}bB)yKI|v4CY*#UC=? z{+cm|zSL(LR>%SqET3X#mWi{E^_2<+s$QUq%tfDD(eLyH^0j87bQ$aLsA6xlyL12X z!-L>O6eQ^m0^eEM<&Bj42u%^(ujGuWtKzQc0|Fu#|BCLWknKXO4Ew=LHBq7Bx)4c( zv%KgRyo9VtOiw#-dGcf({`DSEQH{l%I7_3zFqHAMUxH4K^03#a4En=fZ^7gP=**u< zrmx-ww#hcztcXP$W5Qf$nW6^Who(`-B<>adq1pQrQluw^6}@|;T_7|bhww5;6>ME0 zCYOB(`s@J1=2q>3o!M!)>;W7!Q8J}?-FWk!>X=WL1-NcK+~j_ITbubNbQlJ4ZxQvz z0=>Y;O+!h~cHe^eDMquy7>NSca$F_ea`O@ll8BqqgsHDsGCu~0iPwxCe@H6RlBtIe znUGJZEyQH9e9V+%%rSZlycZkCE`OH2qcRJGBl2MP(sB! z<4!KWoUWfIg*jv_LO(xwp)t#Rd)nRr`@Nz!u+vXzvqy})Z5T)n_LB}^50-XrG`izy zVj!D*Dlz<}c_ODn0pe&+o$Dnze1J&mY?`XOluoL(h-Xzqi>DAl^=(_Q6uuI2KKWZ` zGAi`+{G7*7>~2vdqax4}TV3}Vf$hpBbl^cax#F8kT|&zHH#zRX-Xvy@K7;&7lw za76}pQ=^eph-vLJ#kS4~8lQv3^ojV9ePm}B9bSu6*P^f*Q3c&A!VqNDW)1`}Hb?an zqrFK+*f8igu)@rGMjDN%N}u#o*7ow`%?MWzd4KYc-g#bx`Am!XxE^cj48qKr8GoHO z^kVd%K!tC%re9kC zCEu;CQ#F(UyA&Lqh(2C+{R0kt*&&@UhD!t04HOlvR;8VW0Uf&f*De6LQ*TEXRs zqDu_&><lCJ!F03F?uPF@za7WXzFn@8`gVk^n+{T|Cd&2Ue%cggGuLxak` zDuY}KQQQ4jMih#DgTBI2&!?El7Oerz7GG(JKkP6uCtN?f@LoTDm2PCQTJcKov>#16 zG+7M+#ddvM0-i~R7K64wZV0hJZkjI1Ap1o0N)b}@!*vQV?1*?xh>iE_FBo~Q3@ZiT zm3y>qry75dPS~ z`(J=y?+bc}#=^2U-?dw&4hN169PgRMg0uLGmbV~epIL5hXV<&4x6}|HK4%4Aup&3%+8irHg zYvI%sLv~vzU#VNPmV!og6CQ%PRnk~oZX<24uYQmp7edn?Y?@i>^YFTAUNx1@bJtC5 z9{B3*FX6WJeC*30hO!@k)+;N&<*pJY(&ypc!+8jNuP1WMt5B8TLM**aD03oH$35p+B z2N*4jM5=~IoB@$2g(MPAcOdcneVH3nMIEvf<31+E!Sgi+$tBx{^;eyQBjN*HteO+} zh8@Enf6p*B>5sUeCnSd`|MlCB-IZZyEIL&?4lS*^Dy8gKrf)H2a4*{B2y_qrm<(7m zvnU3KDNR#57ARwh~V<2YSo;65NV&wKt?9jh^ zJMw56pctjQDkf-=fH7>ZC~uxm$TIZ2v-;t>P$iI<>!<~w(_59YY=0f7H~$r)DaVjK z9yFZM>>nOBPjlFp7~VfSbX@DHAt403DeT6};^a7w^IoJEW-9c9xIycs5uYuPyGSk4 z$^1YC)3GfC0FqxWag@|Hq6EXCAcgUu+c`WMvYM{dJU6==dawX)3R3V0Cq zZ;1b-*#Fq*K`J(s*8LieB_tL#)lQ!BkPM2=->787mFt8kNUQC^^2W4}sn2dc_I5Y@ zvw-^x+QE)JK(px!{zxYrmBfsbp;b3;(%<>-#h7gPb$RfzxK3fcL*N@}VrkKFIy*Fx zAe+bytrRU)K|r7S0+?b6Cs~bl3tiyP|L=f_?-@v=&jD}Jy6iXj$lCfOMoPKcMCAl10&p1* zwhV9)ON6+uI@93O=S>ZMBiy`q z?ws#k0mPiyALd&MBe|&t0iH`pu>x%)B}12NRNrNpzl|`)yMA%9{_>~itNL>qb*YIS zVnJ>?oopH53)#0=0)kbPA&{IsH84>Jkwk4sZO?!~Y=zauU9f@TuTQ$qkY0C6tY7BD zQSG7@8S9IJJ~F~9eD+Z@(dxaqEXDqG+f$%m`EmK@Y3zbJx|rMvB$Bn35h1kB2l0}Q z2R16sGH@qJtYs_swC&y4Z&%C-rdbnAp4qyle@uJvg%6p_eIzg?@kOS#8fdf*GjC{G zZ0|+j6Dut7Ev?i!@B5flk&}8V9Z+xX@Y94!JqM}4$Y=HU#b4C&xH==-2q=BMzfHQrELfrL#ZLUYld%5g4 zPZzJtjxVoDQS2~ZD1iy2EJzsNRQZt6Dw`ZrrByL0R0>^1RzcC7t8*^x8!2gw0rx#b zBg=nk+Av+747BLyoLp?GI`{+M!CmxFx|4mGT^#R2bAXI$@NsURgMw#_1lNNgTfGz` z_tp?hl5X2O{Bp(-HX1>b8?t#w7Ma_O?xH2XNANHRoCxj#6s(58YKw zPpswJC2=FG4NUe}`K?pn*;;)$jf>bv9 zaNvm5%l*RS49*uFKFoVQL17SRx6#%?TYLN!zeFb)oa9IcUm!Dz0 z#{nhbtz&J--?PnF$U-pi{C08Igw^{ACB>hLMImKhCOCDRPAo&7o?0 z=|fd$g#vKWWujH|WW_LoFn^ul6b0~>acSdqO7|V0Ej-l*|DPiZz_XFBp2B7U=4)Wk~N(pg65M>RjGt z9Y=4SO1ep37_BfJeDt%t6W*6rd^3fZ=4IFNkky9Plwcc z_gGNhixr%P`UWkwf0bZ*$WBt_@R`raWMv(irlm@LrQCzLmS*=|Wk&%h#S)-w?C8|; zrEWE2s^6F=c?uqd0Hd;5u1g7L&_E}}Q-0;_ZaOl{bY(*lD3m9;^>}LIH9gb(gIiee zX}$EKc)L8*ztw4{uh)xie(@L6Vzm5oBxp%LTd{YiGX`gDSeV6nQpd1fmMS#1!>9Q^ z(E?J+QtE}rmrlre+|)qy7^NpG6SQa;iN3@H#vTjw!8%S|piaDsx%;yzOy42w*Lg97O*9}3|YNP6QryjX3Q0y8i9y5n+BmNBVA zeq_9#egw?ID%hJJ);8zQ;o^4p}mf&NMinDzv+`i@f*tTPr1!rv)+v;wi;B-;)H#C#01i8oG z$U|tF4k!{y73byw9khFowQra3-RWXv>{HU8rQ}knvX_%>@M|&Kr;~*d7BQ%l9 zL?sa1rvMFhDe|>`Rc$@G%vAl|cx>}r76*he{DU)|_nQky$jjyu$2^rIl-lc{VHZ6t zDXVaOUQFMD)w6NPv3=5pAG=6hGu!M+ZESVjcs%E=EW>HB+0* zHFE>i06GkUVD1xbxf2MLGm7iG78+PBIA~ql`H;Jo6U^@M+o{WF&ex>DGkDatUKaLj zUn$?mF9!|8?Uzg&*{xm&13vpd)wy&JP%IGpH$~ZOPK_2(Y@y?kkQhJTcWhP=9mx^e zjHm-u`KFW1X(5FL^-8s&_$4>x$-7j|`CL?ag(P53Tu=wr{#J_nqniAlhVR4?|2{H!EPjak`9ZA)u@LKL=PvK_RvjuCM@7jpg*Gbxj3 z?qgNRGYyHfp-x#e!?N>(CUOG_Su0$lm|p;hk75!0rT1IjCJuNo{aSv?AvJ9DvNW5l zbnTvRmp2R0ENhOPOjq5mXKigLB$BI%WURM5=|FNz#y#Ebiz`R#X(0l$iTN;z9lFZ{qlDmM*NxxfCWs0D5z;z}0(#9KUDXAr&NDZlW-v^28_ ztSyOHss{gS+M=@nA5js=C(OB*S$~ammw@d|d?JFla}f!|%4btn5CF~xK5Ma~c>Fc> zW6j6&UZ4Fi;P!D*VhV&#&+#r|y+7%+OZSaEgoQ8{CTm3)sd}TG z#v^Q4K)i>ff}EgxfrujB>J*lWS)z(wIO0iY_j~Zx( zc^G4No(W2uhkud#g_>IR$=1qaog}~G_#f1VHn*SR$$#6yiO^{#W}33Rraf8v%~nr` zV?|=!fsu>nv0=0P1MomnL_jvE`DbX+0r@*mC6-a;CXVOI6uT`)KAD_(cZ?BemZ0j%=^4UhpO2+{MV#yBdN#9iwv zn8@*5%4&X{edrv;H-C=7zB=r3T0vA>rrbM3gphgy(wkjQ5b%lsg0#EI0dq6BS^%?e z-CiytcVC!pw?zI`m|Sq({JMAUBjNlmATW6A>@M<$itlqwlsS3>*%6pDSvw&byLmFt zTre;-^R+Bh(Al<%MTh5sd=v)U_)n6Ql@&@8|D}F=pJBT*Pj#5`&{BZzFb63iBMSk# zC(F4!_Oq$&Kv*W5$2$2=!bu$OvgV>xtGwuAFCCp|^bR>qMq4PSMHupGprRbk3CSoL z7XtrsJhG;`r&9C+BV(6SKD4R^@~|RHx#5l;BbK^kxqbJu%Nzv35`&fQOl>?xnRciX z*y+XCLQv;sD+EUWV^?uNyq-U1RPxOPGFWSgQtfgI0HqI+VRg64T;%$@!ZXL^;Kjp& z9ZbzvZ$WYglU)v+I5$1{;kuIK)#BGxSZ{%60%AlBiooBeG0BZZU1HSHEz$_SGEP4w z0%Q$ms#J9`|9`(q@fyj$d3s;LCx7j6mG_5PD&Ao7`2{(iv22A75_i|8dG}>Bo+P z=(HwiKB0&hERS=&Q9~mtVqDW2Ro%4L>&NPbE25hPyNYW|5tvox>Q z4|xt-?(AT}aP>WfZN)#p8KcS~6|O^=#}ydxAJ-R9u!%r)eA2l@;6VI58>ZY_!eo(R zl#2%gWSdA7JyGCt%Rr*i%J>=PS%xh3x$#?^Ew^s@Rz(PAiWZlNH<}vKgxW8rGgv@t zc(wP!;-??NJY$o`ShS{?PTZB z3>(6KDdP2Lf)U5|TgAFe8}IWZ(!&9#F(AG(SZlI+(%>(P<7?T_7Hh}#SsW{o#hW`$ z9nPeHf@C_m-Hg3-?ddiV*>Qb|0E!K2@L-RrFFqHurWG9(3kW)3P?H$_ZJ06vx8-CX zHW?lVwx-&uLOnxfDPB<+>G9NsG%pLo9PC674n5u<)EC!pT)d1Wm*U;i%7dLwo=2kK zj;6*Asp>5?2N^bg{l3G>emKVNKdYn`8#kdLKNC3TDf1+Cl5NovhRK#HAnPE~7|WCr zP4-zEb|zW6TfBQ)r0KT2c~oxY$Fs)2IrC46jqERFs7HMI<$0nDk^DS|LAsQ*j>oTZu^~)7Y zsLD*I#*sEfi$|M&#Sk3UpnJ&nQiWcW;Cl8gxMXkJC$TF~w?%!L8*8<`7#M0+mkHS51J?O$0;L{9s0cKTY!SnH_99DH$pQlRc5x@pWs$`+7cF ztYF6tiv%2on{4}0_prBAdF0UDNGis8+R@bgZnUI=7@2Zg(4XRI%G$2hvf#(IeiTzSHQB$}tynnVXj_XQyHC#2>xsy8c zCB4Y}x{Z*5yEYZtg;v}2<(cZa2Rsk1$!f*6pj2^58h``(V_n)Un`mwvH`_ovvU{+0 z(I7cQ)EGH)w;K63#r|J5h?!%gnl{^T>-E8}L#<2RcTy4#D;1oeP42UE?OUY;Q2S?z zPBQ6}1k0_M`~u6G&xnrRJZmSW7aoerlI;tl5AbH36Tgz|KP36IA2=lr%~l~3y%>2| znh>7?%AZC!%Y7G2d_E;uK-iL{(B1S6hxO1n;=TUYLyyR~F}uHRhX%^K*@wv8__34G zG#R3MH_pc;a_vFkEdJ9c0|}vpWd6na$G74ae#JhIriIAO_NLz(W&YMrP8hsG9{mfr zspynh$(2h)sTiK!GDK2M;p}mn3H0zeMHt9H?$<&UX(ZRGN`3Hq_qx-L&L%JzwmTc2 zqSx5aZ{G=UL9-kXlUB8nc~!B#k)Tu@#~=1=uc;FZ)6^6s=WgUX?hwSSSC53XI;afk1zX1kX9bYzn%*jvz( zW27sn8pC@zin?pZi2Lq~VXTs}2~G2uwQmIn#=d2C-#aT>9hWHiZO4cKDZ|{`9cn~w zH=H|Q*LD~^1|j8(VzV1 z4KjO-UPK>mgZ5imL-_LxD8j0q0CjG7P}~ADj68e{usH3>dyc8$gqXK~DH$80oT$Kh&dh(1;TGtq)$)UFtG`X-=Mu|9(WN++FaPsFY_cK$X%;d8w5aKX0_4vO0p-y2VAYeBRWDZ$R z*m|scy%KB4q6Vq|S9oFMvk>0ge<*3b^M2wvq zBGlK=_w@Vah<<%r;w3S99R{-pXTW{wQ&EBb6qz!$je>|^d7%4zlL=-ioUMZS5bEpX zaasGsiW{PPjScKRR_T`CUS7rNYdtNhc zk^2*_$6@t(;wcy(Vm*NiInZ^V+N#T7&)ANq*<{_U>z#D!$of3(`Uyu$-AB zkx|2+RC>ABo3gKbVM!znUybI=U*ihP=lowN)$2f)etG&sJgZJufQz-bI8AAIti7WZ zIttDA5P1;Twu1;a`j89fqGjr}ZAp=~^s|Kxg4imTTv@mr$Nkvfb*&#z%As z^u1L4?JzGffVNme63l}M^+!)u*;H(pc%-=X+o658AQtgH`VYBmSJY@8mjTSu7H?IG z6novwQ~i`ay$$J;dM9Acy_wR(-HLN!L^8h0n9OV2Bd}WF1NyYjiyNLBUFQUOpuhi( z2p;s6o3T%_*P*n6$1;C_mij_Vw^L7JEk_o-xrib1z5l3+t-PSk#12f3@Km`smZtL; zGlI>7BMhbs=3S1lkGtMA1cCklUegXWuyMv&vRfXKyQ9P`a_sy#_UxE%dw_4WxnFpy z+4RkT7q)hNKmP6N^%)%jqRe=z)>(PA!hnj>JwqET6N|Tm4Gj6WvNEPqi7~}#1C&4< zyasQ3Vmk^gx=B+6GAbx30w)GnB~6TOBx{@xOyvznlB)ZPifK7ZQM}R%XXfI`H+(Wu zyo2e|67Ez;El>o^_90Z-^ef-noVE7>{;>Q^wv3BjLK&Yoq-7}&_iHP>x$OrLc0hD) zwcH=Gvu|~6lDvxp=Sx6b7NF&{-O4#hLpwkwg`n2{HM{~S1-oe>FsA#@U!ZE)Dd84?4FS%`G zZ}YYRcyQYwxdruMlTAcQ6ZKOv?1YYu3ULQIwVl zzpnZ0tsE?>b`ZqVfjy>kFn`(mXI7BMhsSu)dT9#ah56qcvd_u-pdj7>H0Q4w&{qqc z8m^E*NpG8*2qO@_x%T{EnBJ1+?({eb+MKN-?ch218f(vqZNWQQsU{DAg~dk`TJc8L zkc6WrGG?mpPsdrvCf5Jt2n!nJVsWdw#XLp9B(gMe`KqF%oBe|y-J$Y?`>( zRD5weI0yJ!Y$tQy;aQs<)W~oQo6T7;`t>I4cT?)o-&Gx}@K)(-4AtMz0QNoeEZw&8$DE0|#hb%fzgZ*vjO|B)e9&*jupvO1E( zlH|I30AH4sU_ozQ`PzM-drNE5rv4Vz81)*;J1`^OU5ofO?YZ3Kbs@xpRzI4H!xCMk z$Tx>ZlLiDuP<>Vz)+F@-{noN4goU_-%ONgAF_6(O`P9i;{GLEEbbN-A_Nt2iVrT>b zS1$*XQ+IdE2XqN~FFFD5Y5dM~$JP@OCC89=?GFFWiT35l`adX4!xXh(jBh`)^cm>& z6BGGq>I!O?AgGbIUjd)D8VDlu3rKX<+=xTkm~}Ej<=H4DtM7dO^7W|VP*f)x3mRL=*&1qnn95ty zQ>}`yBs(^LS++@&ZA(gt{6d3>PjNR zNDp(Cv(9EfwpGqu_c#;YB^~F{(RXqGGTXClnzAOcNNvt-Z(yPh{1rGX4JszW2&1}9Y=Avb@{ zV_Pg%2z9!1bqm^0U0w_9=WumE(=VKfxgX*z5|aq9mi>%kP{6kA8s@^-(oiMw10p)c zq)3CX0hm;Kh&%Rw42cPdvrmSj9G1Z~1I`4?bS99v4+@ifha&%->zzM4%bnZxn=;de zK_^?bl0vWLZ^yb%fnorj)li<5rTw8fK5f~v4CuLls)nXc#R6)~Opw>O+3{f^m96~Y z*tx;$+h%Gj6tp-|vj6L0R`+n2(EHi7l6m*mIsSg3y?}y%8oT0RI7>3YCl}&g5|l8J zsRK^oVtrW|tHg=4FH(QNTaiBrTA2*A-`ESU5WLy)>zT>olBNCc4IM7(CFvIZbHnOoGJJh0wRzv(LUj=iop|?5_gSW zC`0?E5K}|`J*93vVmN&TV05KV3kN+!PtwUR%((YgYk;4Bm5~9x^jZQL2v?kHEsYyW zt0jl)lyPT34VHY&dwGRtdAFYL&|!%u>-$>nBQ60Qu^Tds*byDJKzeuroR;_(kFzIk zi<({PVD{B09wY@xw;C5&NhqFBh^L#87kzvAv1ye4&_px)mBiMQm-Tal$amQ6vY8wN8Mk7zq>6`x;#JA_NZ`U(ptXwvpk! zMrwUx(hEbvm|%)+4OMzpn$X9pA_GMUl(>3(`>hBe+<(CK3dceY8MMQjzbimS}DcCaa8ARnBvi zlbtV2V+cg-kei1*+5w9R)Hi!QPb8>BLSI%wC5R1p3p3lv9OZ+=?{MnwCQZF<6TZQmgQJh=ws>7$|n@1eTJ&9DeJ!=&h<>d`aJgI z1e7@+%PqIcO-@dV%`%DYaE?Ldvt&)#j)hujt#+z;ko(AhN|@qq0IC%Pypyo4 zy3hPzOZz~kPQ&qL>M1J!W;jDP42Clz<1VGWNpH)-#sS)M`n|>WPv=)Mm>E;cHs*zk z{>J&%eS3FQwV9}5^etinZo`-Jk-4uW4a5QMg>kU0T`d<_r3);LlIanXh9-gb<#zTQ zxd40ry!|#bH}S!||1Vr=>@OaNQtzWi0q34hg^OWgG33QV^IyBFubRXeuG$oTvncwm zvTd(Dk1U;9h3CB|HTQ4N6L18TR8EHv;CnOX^z0S@R?Yo0)i7yhuyWn$e1fS%WMKG>GROP;`cFd2hD*)BMLU ze_6gu)#a_e&(j31C)ua$;N{o-i=Bw4WMXpS@1zW&n|E_6+l(fzSz;oq9cD&q&AI0!i^Ppc5|F_r;3`KCNC;6P=GRuY7S|>hwl~(NmkycenV9Gq8F{&dGLm-DAKzvW z;GnY5u4KbN^Vxwm4V;8Z{E(d_0iwUjeXb_g zBm+pqWyfE|TO0um+oWeL4NLHg$lANSx4v;*X?=+Nwh9}=X|(e#asVfQE&X3{pr1XW z1WgcfHr}Upp1j(Ah39*h842b2-1 zv9lnSeP(%IVWe@Xz7YH@!ZhPxRtE;oT8};nNR9oaz;D%Nv^cHiVqElPjVSqG5It*E zJ_96_6ghqH695Ek{ZiyQnmQ3p$8DUnF(L#i@)=kv0S4=<3Rzz*s!v}aaXmb~D{8BVw4Rm|KbC$g%` z7+w=}(=B|{cOV}zL~Xu=cLSxdm71f}$*4Io(!}C~tyA&Lkiwf{X%O+giW?q)W zkaIV{X$aCy*Q_pzuC#$V)Xup8P)7#m8fo#H1(y5|V?K)p+p8KlNZ0}|dPHkL1_}+x zl_ebaR8_FuX6wjj~3_fWbJ`Fx%o5n)bYo7yq5dY0a5QS-R@=_PTeuI2U^5u6($tXOM zm?`nbZBy1DWoxFu>Cr`t0^op}Jk;_SKn>WiEr39CI-4vkQMTm!2hw6goZ+$;8LXs< z5M-d35RF~C9gY8m++7HRdf|oHPnPbP71I__GS;wH*TR_%#IYj~sDwu(A+-oYc>IJ{ z1xtL<2R8)n67BvLRf=%L`==ia<{w-m>8Mdks!kk_-M*D>%8{=|!LAd}g0sUkh_!># z2!Om2Ld7d~79;kZU(;>&3$2%30l|_LD5S3eN5g0(z(*~Up}TQV<9gn}qM16ak`6}+ z+5pF=i!fk%Kp2z;0O_2{;@ZXrcrh_Xu5P6gS`}qno+7m<1Tp(%$<6PQ9=<4w+Bdi4 zS;(LxENWNSi$$v^0EVaP6dpR@ReScVo;C(dI{ojHmjrzMX(YCmn2;P#gdh|T8KT`O+I&ap{~12Hp!;#CGMm3w*PTNVZm}V-YDg|@t}fwSmNE; z#8oMoniz6m==z8Y@%-sE(08gE3}wsq$DziSQgTKF45V5bBv+-jNKB1Wanld3EIXnJ z_+lh$20D!yI{8vv4ExDWOpYCgnEpbndqxv1yh%2)YO*hdqEDayQmbpN0Mnu^Q@p!C z#{z19)ID)_>L*P7^g2db zJ(G#?2FOHcyeN zpt-pr&)$Kd_JpoS1?g0*@k8*_(k6xcIITwWt#5BrM^WKrMq6yqPvF*HB=lA=;Tm9a zV3_`d3E!i~GOt;taTxE0oFVp+b;-HSm`Tei{!UbWNVNhLh_r38{g9T>~Zyrx4adz2Jks zP4XdEdS%4uwSK% z-Yt}L+03d&LN^QR$g5QN9yW7R$P}618yKz{xP?4TVFol7zhO+b+qDn43P^j zG?w#M3HV6TtG#c$%cYXYdftJL_$XQhd+yLL0R1g?@g2IXb}<9R?(-5aG(7e#&-Sky z-nIYiD@esqm*8+dU4y(oGI-3k1r{r)aJ&2~zLsdLJiCbYaq~)W`&*@2M7s*GOcT1N z-(*p)MrL-BDmS~94b2UB=Z7$3<^rr) z0$-f`g9OluOKs)l|3Jz<<*dicP9{#qAgD^fn4R`zay??65A=BO*WzVYglpuw-ZK;; z{FQm%d2O<&MA7a9ziQvVb3L?h>TNiXGbUkUKPEK9!)}pJIb5Ks(Z)lO2WdX$o^wA4 zg6X@X3%I_u$5wvNR2fHvT3qE#TZZ@6z-@GbRh}3()o^N9*I1?79lA4SPB;)@*h4;0Q`mEzpwOjk@QPNC`IJ^+Ua@s{+MYWnn6#R2gKR zT3HS<)>=T(UgNM5d|-23r6yiW-7=K#cya5)i}YnRMuPFwS`!U6EHFseZI}|nx*V=| zn9-VGixc*HoHTs5^xT>_)3ZuB`|7ZkZe>2uzJ>z80X!;OWHL-+;h1Y2o5Nx=Q>h6$ zU6p$@cI{d;_rys`G8wUzoUU99R&wn( zJO5VKZrTFc1mo|XZlz&&x2B26bOT3H!J>l1amH3jfF*c{nX|+0zcHRbHgI-^(Gj#_ zEh00U{(Eo?(j`x^?ySdZ(WE_u>k03-`GHQ*`2hejYVLv8*1Z0YciGpzwVo@askvyG~ zRP1}4Ex*BB1=Y8J40U==2QOSKH_#VPG)wvS#GG6j@l8h)e8ZcgI|Dh*&3pP2G_8?B z`E=61N1w%NdMw%2kEkdqeU0}x>h)_Hj(?4D_LL>;s37P8p#ZRkcAUp}g=fsPgr*Y% zo2J@sP%%Uze@w)@oK$?8qf2FNm*8%Dxve{_eR;S_585o!vJQq*Xmx^)-Cqx1D8*N{|cvr?Lb)q&PGTp%BZN8hC6_5LMX;PuN!`I{Z1=o2HI$2h- zk2L#Y3()@SCiB7W8;M~fo^kncnTyzq3L#k<+Ce=7se9Tz_pRT|D(JkkNW(O2&t&%4 z0sS|IGXNf>cR*g9`Q!A(alh}e-P#ka2=AY`mZTR3B?*YiC+7Kl0wkryw)Qr-S=J>J z%!FPm0GtOKeXz3G5hd)R6M6JRZVP+20&5EV68wV zk}yu8J(xdi{Z@LaDdRKV>v{59Id|YaF(F`1^{OtI zUqAc(6>zC~_HMy)f^VKsCcnM&#&{y+SFf{ZP9dVjdU%Ep7}K041uR-5@Ahq00JTbp z9n+e9Fh?pt+qspy>Q+RHK8zI9(?aLLej@Xmuz+3JW%gzDNIF!vlLELyeKk9l{&Y`r z>;er`m(x7vqMX3K%DH>B>^1b4lR%g?-SHp9;RCTuRTU|QA}Za%CnlX2$=HP`Op11o zRN`?za~Kb8iayahq_Yj7xv4$*jls?(#ZmA9VC=?0%w&gVGXLi6DlAzv%YfB4D3kxgj%UjFqD@zl)>5>ahTkoRf7~{;`FYkGib!;hL0HwsU9TId4AVsX| zN*0*@gYbU**(9HQc6>n|E|MXt%|532dL|-{J4#}VyTi&Rqvg+b?`Iz0idY|`=ephw zh~cBWgnp^dDt)UDuQy4Me@;l>x^b~^8JyYP@Y0&)>t>u?;qaU{=;F0lnKf)Ie7Rwu zW$|zqQxQkVz5djS;5V|yudv3L4blowK7il8IMiyqE(yjeK#SKB#zVkE{4|uX*D|_8 zmo0-bfE8L1N|x_Dt?vQv#~jhxXsXs11t`#OuR-L2hWLd?xa$urdOks7U5)v$#(aoM z3B|ER*pR^Jkc93?IrGP>0C9l-na$7Lr@q>?%^`h7Qe7cdK3FJ`Kydjl5UHmMKIOg` z7PgMD!msWSOf<>zQcNOz9HT?ez@Qk$Md5d|hAoox?f^F8+w7JB`3J1D?!J2Qg(9@Z z92<(&*hkellzZf^-?z+e9VD_;Zs=NsmcLmyu(OsBDBwDE<&M_(yd2=}%p59htuKP2 z&Fy$!T2&d0XG|;@T}j%hLF3yzB3WXTY%a)9ic}3*$<$A|M2Hf~bg90x9NJ#U7M#p( z2BJ!Ujp+UnW>sI3(IC_l!BH;8Fz8v_Y*TTc|Ck6{!B>xxJRjbmfBo@1Al{av{-<5} z4xgvl^fp)3>vN$@da*{BF7}TaK1>)uR|*v^)c3CJ=sIFlLdFdcLI%-PP1E5UQYuZJ zO}R$t?~m9J8PC!BafcW3m$lKBck%@u=d|T!KRKY`gwz^u%E}|&l1KWXPc)!s z!=}B{^4bjZ`ZaR~N`il-3=2a7H~O=!P8sd$$vK}?vW>#`o{}|O&L*J1HWixvocO2h z6lBQ)otSC#N{ld|YZ710oBKe5^Cgm1jy3pdPYef%ugm)bYbnRYqu|JG2!2P71t3>2Y zP=S6#SvlWrc9r@Ehi;(lZNT8e?5-MWyn56UssVRYPmG3uP4AGe{%X>p5DdkOH+HFo z3P8`|kL{zWzCg*13M>d)KTD2cocA!h%`;1shIoIMgs)w_ms&gJ(i>f?I@cjMovt{F zL(uu^&ETSuJO@miU;ReL>~;0abMCDi2e*T%wu!S~MK&`T>}o8jqHxU;<5Wi6#Kbpc zg4)jpwx5yJV@@c@)>WT#2vP#=S9=oS1T6}x-k*6Vw=G@DC{hh&l+oq6&Wxa^xRe`fp@aRLp-KVa5;h5lOy#QC=))Rk|vk`H#a<93|U z!xUdPbVLoNkC^I446ub=cAD{Xggb^yULUb#^!9Pko8}gaf`HyJyQ=?kg1^sS?;f5s zHoZ^0IYPutV|nK_lK10`>vlc&$j9xpNq#i)M+DV$os3Y%@ctvg`qv6*#~@bT0nj8Z z4%NL&X|S_G}w^0&pl-{ftUZhsI*lIJ>eBCdLDiT$kwN6kf(AJfoA9wU@qUZ z-BQeIxP~IAgy`jCH(XuvWZiXi@rBpzD4{@H&CEG&Hch;o=Bc0;0+_~L85uo!JRHZ& z%zgt&bAF7Wb6EB%7X3(^%Rbn0s%1j7dZ06uq3`@Fcm0b6mV^2En_@H~{wmjJ7nz>srk(^n`oND zU*NLP=-Bt)(eR2k>SK>Fbu*U065(5?=@nT&K;6`t#OC)y{|= zQYx@M0I#+{hxR{W)yirZa_=fLb~35f4b`Two>1yRUFYBvbgc;k_#P?Jl*zjADbE%b zAPJmXHFp2QYqtrSCS$CBCWbXj|Jb)fxc-l>)h0f|mPQWaD zQ!Jm(485oDu3PQM(N;zDdFnp3@*dU%ozV{NtfDnAkboAH8}|}g1|aTWxVt23#v9^d zlT-QL;bwDZiiJ&02r)LMd$s>9dWs3s$`bZ{dgJYcG&(F3*>7x4W$&&+%a0X*y+2Q& z(M^#14-(2Gvmace?4)aq#)1tkk%;;)=2^f;i>;at7Y8RT8@oQz2EddFs;x>n^GMl< zymnXUq%F{`!iBk8)V6-_`SY0a<~RL*C)@Cy@syLl013pk3Imx|Nl_0i8o~~9%j{5; zz$5KSZcSRZ#VU=HCO%=ullpFrfa;7^|3Bomy;(a!dkdCAdIkYecd^b|Q*cN~fryEf zfOolyLu6Bs_1ATgf7%=6oJgtau@-)1^5lqK@?{{Sr=;uSnh-4qr_O7(i-|dce+MAa z8@od*pBL{m$isfgm|>`e#bly3U(5u&kE`67Mx%S%6qLNODHLirMxMnwt6LIq9c)GI z%GZE*iH7e0NW-63`^{!x)mZ3_f5l!|&Idp)A_(;iNw_%xH^;AMmh#(7pC;M*VhY^8 zGJ~dd+C?JlAI{H#>tZ|tti6Bk;p!Hp<_V*A_RjjW`^t}u2~V1uLFw)ii zHCzKsnBH_wf?QO#@1s_$V~PQ>;SV3Zg4*n=pq~+yfJ`U#zcH&HAW(>Um++*f6Sp*p_^mRZ&Rot(El`>*8H%GR{L|chClr#h>jo2ZkvAWh zxr$d{WORrg|4{s{oYRxU^~83t^P`Pzqt{NmlRH@>*2i^0UP^@Y6eTxK@=P<41k~)> zXqba4qWDGaua18BvHzRE$`y33*lHnXAYv9j=l@92Fj+t44wWgqvsW%tDP?kF<6)$s zq8A537{wjt#wRM3aGVrdJ3dSjFXV4t(wx&F_T;Vn=XXWJS6k|*RvyfBxAf-dp4$j& zi!11k&P?hbj(lJFUF*)W3)%ZXdU>n**{nf&Te7;!{>i7MF39`3zqimg)DNfmD9}A$ zhK6u^v4|SZqP-^0ms1~17#jphGy$@{v|XAuLdp>hE1m$ z@w#~hSb^&KyCT2MakFptd~U`)M$#5pel0g2E-B3ZZcIRGa|2T2K-LbFw1!^qYt;oa z5#X7GOKZ#qmmrkMBgX-0NT42H`{A*S0x};~X9J901YIAmZ~0y}S6|obM-zC2Od)^H zIY*ZM&=Ol$+6L@cddH`r6#DhnZlZ#2<)rU%FAfXrmRsvzDy-ES_@r(+RGn~-wuy>1 zG!Jb*Tt)|a1k5m+UMfM8T)pjcnTmMLK{L7)=j#Tr$gAUQ8y@timbh)w2V~)@&(Coj z{hd7=4Q^2UX-qKki_O0}xoU`qBt6!yBIR`D2Q6C}W`gpcPre9Nq;iSOMk9qR(PiKK zcmEi3@tb8L$`;B>axnujOCjgLOxAq^rO1~qr3ps8v8wQ0{(I0-Pg`9Km*=~`%a-Xz zk?6j89g_+Kv^Dkd3O#4RaYjT2qicrx>OI0L zY>EI&CwFAc5=t9bCO|;OJY?bC4lJ#^D0~f-Ms=A=x{#DLqKX9MY#~RVUmeMdDJNSm zyNZ_zrXGZejw#K{k9GYsiQev&E$;>_`Wdr2-v*9pA@>iEg~KFvc>fv{wlzdaCU!}@ z@G_Qy(e>ksVWTob|Hq7_`0-=ehz49o?LFK+Fa-yny8zO|Q5kSRkJynoKslG#kr}Q7 z5;Eoa$PAb5BkI{aV^8fb_pk)91*o_9%YAHJnl(uruMcOSF^>&OFCV8q7Zy;y{1P?z zqm%qsiy6cTW>fu2TK@(M0LvaspcFV&A9WzR-y%1oBT%zheQ*uW1v=~5d|j?al!1bo zO(lArqQ9DaYJ0&Yk>=&HYkxC&!*Gl|?v@zA2LRwNR~ci{OG5bB6T3O#HkPhM>K@`n zIjyXr%Py*5ft)h&y-rY7SnLQC@+F46RBo%UGa$=B^1pxBUo&!Q2V!CK{S$O5vl-%M zpcavGbl89k*L>>CH@~*^W^tr2DE8!Cns%@)`owdKHlFb(UFJy7BaaZ7!VyTv9S<=` zyW$CY4leRNrQas#hn7Nd7=8R7tAuOs1R|^%80wI=I01$)pRdrz+E}5oe6k8X1BmSG zT|q?MKkIumBn-+^F%pF4!tjiU!5@1K3O9I$PsiKvooiSLcrm@~@K(3Wi;K0*HdiOu z2Kr+a!1)lmPM$>tUdH#gFid_%CMKrbom)5kd_XctaJsy0Q&^u5y12A-Uhd07;nBq> zyn_Dgl$rLI2OU~Ex*&2U%|IyazQqnWV}UEXqk4qv3I$e{24Ueg__dX3gssDV$-uSS lJ91=}&(2VQ=D(1S9bhfoR0{~Wq$5Zpp=cZc9UIKhIuLvVL@cXxMp_sf6IdAaq{GxN~% zRZmrS)mm%v{UMH%z<6>dCO<(Cp#QTN`TxCe6xmIW!egZP?;iZ|D0wa>5H#i45^6asYcpFrBYPujhsty|6aXagzw`Y!&wnowo+dHJ{K~eq zwmP>mx3I9fG`q00HNU%h#NZ(tWx|^}F2%7IqnXLaMaVncFDVl(4cFESLGOg}mnr(8 z^QX0)J-h7%DVxNlCqY(a21JkbZ0KjbA;gs*nBX&Wl;!@)i_x$x7KXpN?V68&jy$Y@ zNrkt*_azA|CZXSQ*63sIND%6H6n}^}1W0fP__{UQT$9Ffa11R?;rnX3BnF9&BguuB zPuJC)n{>|TpIuT!2k?lWY#r(czi^O-tcH2oA%nO;#}l8-{}tEFCG6Ee@ep_fUWPXI z44YL$y&%SxMYYiqt~fvO*0-bAFw;Q-ICmZfeAcqB{S)c6>Nj~5r>rAXhwaGkSM&fEV0oep*5^W4dub!Cvp*tzQx^zw-8xq zB0I>xq;DJvhBzU|j=%Iy6ivm3s@v?WV3OG8sB2mrI6yxkLFvux7uY@5Q`D5eNl@)& znj0BDUJ2+oj}?EMY8E-zS8pBR`z?V?I&PjN2k~5z1e*boYerO+pmG|6n9F`?U-*gA zGzq%`0SH>xluQ60E84@J%0x`hYKZOem{fGK+>dj$_h7%`BNF7dyW>{M}o9L`y}3%GNaVCP#;=d@6{%NwR!-tvTpK| z#-`Ss8GebO_4mJ(th+VmLYjWZzZeT{CV6}IOcaa*;Lh6_(E$z4v(`yVslPp{oGHHp-baSYw9d;$ z*Aq|{h%!*+tP8L}TpJNuZR6ntSKsrQSEt#(tVD+08wvk~WbO54!)%?tToc^9v5C(_ z?>C8K#E)GI+?Ztzv-L(nLXJ(gNKI}jG&{3Pa62>JEfD9FBpavK&$gDM7p1Hs6cHU* zMbR)g^rIg>sr$C8<~D)@_&pc6GLCBH9J#3vFM1X%r!W@iHmcS9tj3|HHDkLMqn$@e zy$s@;AG z;KHx{bhQvMa=TaKQx2Fo9lLI&QBiy(ScA@{y6_-X3r%a!u2MQM+bs2W;a0|6NQ8uK zy8S$~pS^516(YFBpr_s8_pcVDwZNt+4#(I2JbbnnyX?!o#g!Tn7|Ic}x=(rE0gkjR z!W9luxpRDNhA&;-_HVw&1@V6i?N)2Yjr{Oh;53&OG>k!*Y-r2gI=K2iqdb0T5Vc1;R1VDAc1xh1YU_NN`;ka!0Bux@ zGMQcewYRa~;0QX+<&cN>`Idef={LR^WcOzaY>@t5ApU47xY0U1RRGp>3qkrBn6_Kie zpOs|9jt0;v%_TZ0z%%WM*ZR}tR&%^RQ7n047u0CaC%?pymCjDj8rv&@Kpn*Uv*y9u zMWD1b>S;mbtxC9nQ&WZWKOJ)x+rEZeRac`!M+nkditL@erpNh%XD*4>cla0 z=X{IoOwDwA*|VkW)r^=S<#qVdjowxBo8~ zfkF>L^DK58vTg8%u(L{WY_`)am%CIcKBH+tX>{2{3cl-LXxa|PMR3*+&BP>oFq!3U z*fam@(*bKEA+-D=POo2HruCbpz6!0E{PmH%?!8!HG(24iLiOu8gkK-8TvZ=Xq76;+%s=H2r~)!S1tXfDXl>e#0nwnDh2mvt95p3#tu!HrOD4&}0@{)@!1A*t-~3%R(q>2)juISEM0aiXMm4j?an@z?HSJ z{dVx|HJKY+sF980BCTeimrQpFlKpqx1uZPVS%ZMI&q0_kCgQS&a%)%Wm$0ktLY&K!WolG0# zIZ6jYkj{euttIE)p%kujLUNn{&lRWfu{NOTx}j^yO66#8xxkjzB8~d-6&cUp$?Gof zgD%yNCS;czJ6~NnM(Qrj!Qz`FH@{8A@}VcVe7XGzbvrD##hL(jF_#=JKv_2lHyk-n z@9oh8|EZ@TAnr2hHJC(@Vo-t?ZvTg@(r>ucZpYSzHxhfhzit{`?{8N?2GxR_wDp>k zR8S_dMNum=gU_pOX$Vc}J_y4pTu)=2fT?1T`O_!Mj9V@GM+?V6^}9I_9M!4#aHxb} zAD(f=d$Z(XMR^?Bys75pZZ~LnArnXe-nb#`8WWRfnSZGRqu5E(%o4@(`Dq5|(NKI4 z$-rTZ$^P|pW2g!W!LmN!n(|kQNR9WC(p?ncRf_ zW!}6rA{tIdTlg!FsQw7~yx+eNDx>d)C-qO|!E<&|1DiwcNLl2J&b_(#okNJNWV(tT3?icT0 zJxgB$XTZY07(@Qt>!6>>qM`e`+DnNHdeUOLy{7!u6#;{^kJ*nnN1jcl77LEMjSpBm ziFmm4eoazTp^-{-kbw+P%9xJuauV|b%w$#!r>*SLqxkp_?{*va5Sl^=#-42(pm;23n6a$BOhCfv4b6~;mPJ%Zuq6FkwmW?7? zaDB%9Kw>Qyp6TqLZr^G5ON%UM|FwqD1HhBeRT(gj?M6YnxXsFW5~);en$9` ze>b;Uu>!`roS^p8Vkdc0#?LU2(=n<8IY-p~k?92yVH{%v#&@*Tx{z&6y>m*;WE7OvZ?wM@DIAEF~vojyH?IZth^f4^<>@dP zHLKHC7awuWwGv%OsQG2VAGodxX~&%U*%fXQa=4hI&ij{6!GVs}ov%2&5W;?-^;i%@aO(E58nn&|KwgYxtwUugS>D^ z=gIA)I`B#~#Ak{4@n@A3ADLO%Cah{&I`E^+0=4x6SB+xEF_uK<&E?#W@eel{IrZu5 zx`aog6w4pmqr8*|XtoAjY@(r-vX~uHN7E4~{~py!n|+M@ zZ}8QOX3Jb?@*h|Y*9c9EOnX$?p}!Un)#Apc=v$VvsDa5n;|+vO8w?Y1Ftl5hGoc}> zRd+wY51Y#Fe_-z$JX5GF_Pc3oxTJvLK;sori|D%!lipLj~9?<#vek;f?t~T2;=BQ+C)vx1=V#$%FDg*H{`|dG;fB+h2h0cvwa2 zc%3BG(|r`1XW_FiZIabcSs>8DZj6eV{{hq_RqK zIPf!?|FwaNU2LfhIXLLB&;0hy_-*T*DPwJH;imVxCFq(_X4$It?|5E(?6r(2Gy%wV zPwk;hecJ`r#gDobdIatFtfK`Fw4M~nX2zU_WIi1KR(bhO24_}SXynB2{M-}aBpo`! z(!n$bn|1kF8f)lv^Jqcb6CeO>>@`2D_UaPAF>iM_{c;1Q&yJ)tz>6($5hFzlGVuD; zLX#FcW4bTXxH_n3Q=^O4s`1K9ksu$E3*-suo{uMV@Bp7Jdt&PvqMcKYtu!a%vjVw1 zBHm$b4QN4cMZG&rcJD-=Rw^=b?_DgR{ADtgy^BP7^TjFPxsa}7fPcjGX{UT$n+rZL zdz=0Cf_E$y1ezIkbDGIBEo(>^@~m&6EC_HQ@9I^=Lc|8fAt~E{=%3UDMT+baLV&3g z-}Jib6+dq=T{Y{sgI2?JUenje*87N*Usri!qEPdRN!Z8W>NipgPdY-K)%Gsr+ZoHf zZ>47BN#K+tUx$S!pkS+rgt##x3i>Vo>#l%yfHj10`fW&JdlJ1~$`R|XA!>DsiW=v* zQog=zA4Z*l21~#cMvXe&_yQ;eozO{=VrG5gUe<>OwPky7`)rbFvqgg+6lSKYm|GiH zOTM9)weh-jff9i&__lv7{qyBnW&FyR5v8qx-afk8kcI#X0Ks=t6>H+`B;kpPBnbO` z(!R}OtZC`KjbOknoDl1gttuMHdE&o(p|8HRtHTlI6&N^=CxDfNWNV`v9T*JSjM7BiikPyoJcCNJNmNE5vlcIJh7s0aFgFbj}S#K#`` zVgF$ZkRPKbBsK*u4J|zb69*^9{?_vP<|44QvNpfIx-u}mwEd#J&1Nd#E|kasu@Q$6 zB?Aeh7yP@6dTn(NSK|07<5L9Z>7>)2U=He$?Mwk5#=*jl-gO(k9uKEsMe-!iYi@{~F75wh%?_~+S2sO8BIB6Y+6M;y z#%swIQ-!S)LLs^DqQE6-9Y)hl{V;-1DfE2ARV=I#Z77rJTrx_pX%x^C+F3{LR1oF3 z&;y<5Z>RiVwANx`Qy9Ap!&h!l!jHhX^VZ_|q06|UL&X7XL`phT8nORV>jde*fo7a$ z<)DS9qv#Ir-WP=ee5cW6ImD&$r~t*=MPGXbtJ`(%C6jG=8BA%;;X3!>196DJ9dBBZ z9^*|EeAE$xA(5sM6Hwxm-}H1k0f9ta%=Aw?4;1Js0{MW0=mecD=<``t_mG@3cXcZ+ zWO1k&^~Yw|NX>`sgJ~#Q`i8%K>G886SkJ`|261SOQcwNPD~#4_HrF1Bd_Z*ik^+in+JPPmDnLPDmf0H)xNaN%~lJoOD6f4=kF|@T8 zw$I!{`<(CJSBkyn*^DOHRDp!YaEY(nS@o&DB6+!id#ODX1l;~Bg#D96dH>2rhb%MU zOAu(l_)t5vMqW~h>yk6Ccux+6jv3V|`#TcD9aHSsd}nk!R#VNDsPa(7T>)H8A5TmXOlHIq`x3WB~O zmZ#D=Q3m)1Lvt4QoLBB4PY0CV8Yaig!e7w3w<7L3n>bd>s1 z62!v!^;bL6@6L@5K!Kb5xe9?dp&P7i>E!eUWmm3%_m?0x_;m(BHekM5kJg1KG;^3`WFhdMcv}`tYJH{I(MVVxH~`T|Z8$fu_w27%VFd_p$RD zbuvjW6XT8g-|ip}AR~(7G~*d;@k&*Z3!w=mKzi+TtscwO16nQ_PGgW7ipPW3+*Q3> z?t>Nj-QYh^AhF^iwm+ZymV~&eCd1#q%ppP0J&B!<(GM~=ycK9ynr@FEk#wzMeuqne zh)X-I)lItjw+%y+*%X#qO=_B##_=h^JA{wPbs}EWJp~pM9n*DC+@uaol)sU_G;MnK zSVIr4z;%Wz6-XJB>bH6F5{&_Vy2aleb~F$5#L?*d#i0A$>d6#@rXAtgoq=<&iqKd! zD>I&Dv_X~&VeRk%RBXULICVgcq3ARn!h*#8%%u%C=~*Np$icU~Cd79z0xyZ}gDGCG z538{aU#@*v)QCmq!}CWGK%m(4X!R!Z&&j3Kx8qfhz*mOvLW}63vgp@|nSM zKWn_Ly}YWB$_jteM@Ya{2-`e_HJAJS|?6By`7r*S|1^F7TUCw!x8yJx5c~rwKs3~gTZ#F2C*o{>*rWyr{ zW3Z<}0N|%FDCpynBr`orw0YHoFvej2r_Ff&x`O?nCcV&6TB~taNWxtDHJpVwVD6(o z%!`{8Y2xO+j%zm<@(y2rKBu&r;t2m-FSyx}yaWjX2Q7VfOcwZ_k95X#ZSXY^KJQ^9cDuv;`&2$XTh+71J zdapbbRlKRu7hxC0O;L+c(T|S9uqQ!h8ENQ#2*`gVcDgLq<3oO_+T#TTCTmiw+OdT} zpv=UaCGM{IniZF--3R(|mcOIe@~NV!zR{1l2JGBM6zzTo$k8Xh`s969N8w-GZ#rAu zk*JQ_4Fp>=aB~GZ3|nP|vRgg=PU`|I()MiFk^Xq~-!kj(>u_pbV4Nrhl#G%r1dr&%2Mc(WYkYz}|z#Bjuv&W@rL{`FC>s2ii&v#~8 zK}DD@#eRU2cOC>rZs2Ti-6Yl#a%7K}4Wm$(=rG_B^dPw5=VkMFc%S-xoiPhA401L; zYuurXEu=g4e^ha(ppeh7pFQQN1K3VWRI2pkZdvG93BQQ^HY~7*HmlDN$qI_RM|QrI zvJ&OM{R02BE9aNKE3QLP{LB<{D18uO>rquX(#p&EP)QiPxE4lZE6JGaxR9!jigALx z@PHpCDUC#cET`-Eg}_V#yfQMt$DQG**~YjdxI=JpBE-YsouiSIYejf2epl1j@U3yC zHqx**g0ePr@ksKyy5V|}Cl5wU8X5GKB69)TVAB!Udc%@g=Wkw&#?%z45bceUcT3gC z>tWl~J-;u2|FSd0?hOY$k;pKsB>X)ggg2i-gIrVa+0%=4FM~>I;?f$3xbiaTU34wv z4|lor)Ft>yR&U>x91@{S3Gag$x|FH5KY&fCXM^X8+5Bl@IN!G>xyBkrZ4IRyT;pmS zmS>bPJCf4qo~?V4x7+R#VzmZT6s^d`p@K2p6tZ4g&?+cU&bX970?S56#uORr!~eLL1;dHP ze1t(=n4jv~?viRgT!i>TTuJ-zr%5_LU1ea@_wB-M+HzSYKfIqz?v6t(k5dQ)+F%%b z-%?YbbvGgw?19?LBG7cv)Ng)2K05&W;w`Q?pP(|6#c@kjQr8@lFe>X6AiozY9 zV6B7&i#?%SPb{)}4bf0I&pQ2v>XXgx z)#9BKKfU6lXh7ZIt)rHzwBB!}P%m*o5nQ^8<7;|9gX!85ry}mbG#0IEuUjP!mkreP zWyc;H)bV~p9A-eU z#o&VPqlUwZN^M8YUD?MbTV7bm(?+9ZFj34%RL$pkE!g=t<$G4=gaYH#zMhDX0*a4jKSyGC<=f>qu9-J3Q|9x6 z>R%T+9G*ZtcVA24TL>fwp@aHLj(xbIX~Bgtr__3%EwrxFr8tKQJnyPt^|NyEvlj;F zo6hQW1udnfS#H!??Io90fbUw^!Ib2uBrf`CF0>`Ixh81FvokgZdHlw?rLq%6=;p(uuBVw`sV z(Kr0k;FpbgIGl+EKGiMUMYqI8kq9gYsEy8X2c^e75el93EIgq$O&K)Jk;;)yLlH~9 z;mgw2T)TUDw*B7h7t}8M+U8!JeqE!HO<`m{0{5sj|MBto%Am4UKBcQyNo!AtNpn*R~Q!T?~j=c28vSKnsf+i^cl%+Nt z4-&b)JS$;J_*^mO+b`%Oy;l<$9 z4g0CB|BfdT`h~EeDAwi3)Sh0bQ%PZxIRGyAj--a%vA4*C9c{Milc88$Fvg9@(( zxZ|rDcqx%QDlHmHlbQc?EW%@DQ773W2<35FZvIn%#0m$$r(-!qAS|V4h@KS+JT_*N zV&DgdIinoRm+Z-UTF*}rHk;1zOUId^N6p<1>fxF*>_ zZ?wHfOp5%WV012fBMGnH-bF?6P68p+6otH?}GwHo`)B2aT@QyU}n($d)kPr-Wy*lzW-bk z|FY+EBp5hjg|m2Et!@tb`Yg9=cE)W|K`W_!S8T@% zV}j*0&2(RKEJ!*-W+##^WigEho4D*ErOz=dZ^mZXAFT!|FpO$={frKoudCkj)q{?C z4xpxcm{QTnMv8$UfL|ibVg9H1+5m={7-zzB=aZg9X_S6jNS6V~DBWmQQ_wn~){13% zm`wNeI(%+#6hm`A0jdx+v%4Pqbc>P@jPSaHTB#O&Wba1fbtPVQz}e81W?)_6`AcP( zkFoud7lM>+j2;*9yxMON0f;Lu(2Ov@k|Jdma%oIPbSj>OtUa!^SlVWijXe-Lb}2mA z7q?8cv}W%)ofDHrb)KFas=sp0gOQotB??~~h9Th1 z&SmcQ89wP zvY)X6rNI&v=f3DjH`@#w?np3~?$=p$w-6t6a_NfX`T*3tQZ><_!GSd3LEvt-wzYV% zXWlg^oJ-DeOpyK+`JRX zauWw2kAA3r`_Y@sGh{tv8FUjE%c^fiY?9ZMtj6P9HMh^!SFAFl@q7<`g^>FQ-MF`C zx#6TAD_MVh%hXJ)_NvP{bmEDz6iFCzE<^Lf2;rGtpa3me@Eh*3#q?fl)Rf6z;o-|l z*&m=*@JRNHVXTM0Vm{JdB4!hI2P1NW0mT174*$WnANC*Q0QE6Kg9JXK&n|rk8I~88 zmp5nTCzsb2R~Kg6if0cv>(;OV0{l6HX7zYqD6?f{bHh{d4|)mePkX)&pV#eCUzE$+ z5wN&wb$`QQyWY@-zWV3iDx@h06k6ExG98!eGAvu3RlTeJPaBm9i17a!E`(yoE>!-7 zsl?|%wTEL#-G7e4I%C;mnaeok8`^_f&ww}tl6|Ymo9w^cYv@g;rkf@JeHWpI$!=Nr zL{*vEWBR^GgDh%M`>L6=>A;081y)|_?1mvX42SMvI?wo(W%bOP`O5Z~+*|+UJpgz1 zuWs_4mcf1|26*%#Q?6V%ACjm=<^bj9HMdi!hN!reW&u(Oe_Hge1YHvCLc)7@5E578 zE#-X9)&3|nY#pVQm$w$kj~Qh@$aG4{OZZqV7yP4jd-r2bHIt$->-^Q4s2jodXG?w| zXG%Q&_g)0<6UGk$9y&uW=cH!C6E@oL8DA^Au8pGfk+NMbYJ#O{O%TqFm7;KW}qo??|GB5|3Jqm@ywt~)5Bv3$nZBu4I&6;&f&YZ=Dii7-E$2uzo} z1vWh6x=vhLP4uonm6oqClWBQGJe^dpTEF+OeXz3@PcDJzjYwpHForFZigs@w@1WD1 zlZj{;(-?_30(6R0@{P}+;@k9=+zob_JI_mkr6&WcWs!`;V8rK`>qK!d$fwI9RlX(8 z#*Swc?%>dqe?r{DT1{YE3+hE})ZKNaIdEPV9AUe|@R!M^Yb%4KteqNI!(3jOu3SC-{W zTTJk5v2b}FpH;=%`r-`ZbGS2$QBzE&)LE$c&-iuWag%edYb{@Oyz=iAjdTN~mz2?t zae$K(rS!(%TM{Xdy`tx)fL35Y3>2T()yUpaKs}&hrM;ZW+IpDw`VwkCS2w-rj{i7A zi=X#_aC={U?RnJ;3#Nu|8)easm(>|~V=v{TzZJ%!`4GzWIf^jBGbL%>xB z-iwW7;~v`{!~JW9;v6A##}WGF1N=b4 z8wOfig*RySxUrrG?J-{mV-V?2zd3836?bhajt%{kx3oJFQ;H%0+8cCgSfXFXwQf9x zXZ2fm;!Rc|E0WTi<9dkyT&s8bc+!u=%OD1bWtW~vqH`9;nb zKVqv3#5*eY@st9#m+=PqBv@-G$4@6-3d}5Hn^-y5s$4!tUD5Jn9~Kn+5G&y==Qq_S z^nZZnwELqvZO}VkY>^cth5SP8_C4)mx0-@9l$7{#V(`J1^t+*nI;-Y}*>f8@dodt?uO!R$X|p*&3k>p(3C&TKpHAPN_`HyOM(?JH*wph< zEvZ#%c)L*`@0$*75PoL#Zr$SGFAdms)ZtIbJmT>?b!A@t#W2A`ps`Rf^kvh52Rw8W*qIX7+9MDufgOe_?1p(dE)gMIH!5=>;bL7Nn{yGRUh8%53AWJ9fWIhC5J zCQXT5ZMp9K=X>nzs~wSE=zM}6+d1nvIvm#8Q^89l9 zPIf;yKJ+sFSUy|U{Sy25lJ!jk?HJRm{l~$Qhqy%Rv5{4~4A*Fa{)m3=a#?gQbzUB2Fr)m4kg>}|5Z*BO=V%)LlH#SFO zXE5wrQNZ0tTR!ebyA&dNOt{<>knXMBoGf%?p+=`i0meppYoo+IYis9y*n8{Y%l*{k z@VG%kaeA|An4wntI9Mi|a*m_zyRpXm$(z2qeChie~#)pK*H~GPcn=M4h>YFHuOZA5DUHKf@Ku2+wuFTxx-6TApL|h;rGu zV~@VU1L@wY&~tTy`7g;Dt^*`D4sU9q&HLLw&2>QQK%sl0*uxo{=ImZRI_hWfdM-%% z-L4VUf6QNUYqS{}1RliVP7|ZN*FT4l$)@*-raE3#NnB(Pw8zYfzMd4g=xM#LSx$*; zA#*_dG+GS~yw$B1R7x7FsyQ^UlAR$<1jT$xZ^nEv{$92Z?i6iF7!zSoT~S5!woCv0 zLE-}5-MY>ujtBRnhp&+B2_xYYKVQyBoJ$q;e7}J~LN}o`cW>v%#OwEKGtl*~Z}R+` zv~Bf)OUY$@lLo|C03m|Crt9z#OxxhvRZlW^@NRWPDFjeKffX<6X1K{iFY1R$hF8|O zTvcp%HrqTCdUSkl;Vq?A+SXQ{_QvmRxe8o8oHxrxJ_s1fZd&?_em_2Px3+GDF%ZR% z<<&lCrJZQ)^>567BkvqoY-yZ39}=eVtyyHspKdM`f^?wNZYkYDu_fvdYZ@=LED16o z!CB6`GRj7y|6mbZTy_g*uMC~Z#`oLoUCn`t-mn^OHH~nZaBUC>!DTsnJUD>E95{1m z5GGLU_dPb9{S|*p75Iy}J|S}W?T7!?a?fvGcv@i|uo1PX*coEukGvnVNf(jFV!W82 z5+P!uMyGGmvNGx-%Z51c)bp9AzhM)4e&sRAqp~?+d=m~{T-TVR&j?%46ZgmL8j%=E z^$7VZ4fDB;DR$Rt-!w#P@o82W(H+p|ZQQWU$VedYu`7k~hCpu{p54)r=0%CoCoAta zuSZUkWyy+et1*)*7@BKCcdQ-iKBy94GJ2Bjuu8_SeV5bTtceY&d?PwpRn1rvVb!E5dOgk+1ER7eer zfTUy_&ZXPlu?559SxCo2=EqzdtSE${sjNmZQEAT!~=hVEv46L|$y?i;V z9@CuHOZrH!jXX2Mz)2p_9$!>u>eMac;r7DwoE`2^U(3Yg!aswC%C^U;#%acifv&Y2 zUJ@A+q|Oqxc|DlYT8jOdIaC(RROr#Zud>}bk=)w^NB^da*5Va-fL889ho8~Pp-9dZ zbt-XM-!ru6kiU$Xl$t10cw`M%r@IAF9NlK6c8@;T6dSq)>n8gTwoAgeDIFF_Uc+oU zTAkXt}Vb9=1Tf6rKg!N@#N>Gr`W zT~Uo`l1#|vB-6FpK5aX{w>g>aNWr^Uqf3s6zdWAVb&?XaJ=vp^#Dcyf32kdT{lR&1 zhsIrRR>T_oIadbj?GGMdK)#e#ZP<-Cb*%2lBJ!5)(lZas@?Z=~uV^bRZy! z?6}LjoBg$t(%?d`UDA}{j4d;lV|T8R-6|~hehMAUI}zOI0tt{LSP1MAtrWERIvFjq zp4uf5;ob;HgVlm1g5PEZ4f=lmOp&y`Gil$oSGjYDXlYey`5r_hrE};v>TVPE7ox3| zbeqW}H@Ud%ymF8*B+8d;a*d@!R~t>CzwboPjk#v@^9IVQn0yZgIm)hbHR&!V@52Zs zOHi@E|GC9OmU)i;!tSJ_6J*Vo?40YM~nE7uftowW-3$1(Cx#o-@>)YP3+r-LIBY4~cv%;-H1^6c!ZCn){tsM7Slg6z*&Z0Yof`$JDD=KmJa3S>>#a zB$WXg$pk9HIK$|{RV+1Mon`pfH+j{G*t;0AgA{(H1k-e=Xr1$E(koFaqpk&itDzDb zOh=1_?YPis%Dc2Am5xU9;jBhEPU&i4I?8{{v}a-Lhwi=;Zq3#?+{T6j$$|tzjb4~6 zn{8kQMYZM5f^1Y_8CaB;rNZtQG(KD-{Q`_+2o9yLY`+I_di^`v)HC1eco$^y`pWjl z+R%dS69_JLq-%XxZ`7>`N4#e#vLC{up=;jc)w~Zjo#;A%W2tk)u>1Sjr8A>{2yPQ9 z0t!@NQ> z{s(M;l0yHels@b~u;Bw20S&Pt`k}4OZ_v=Ovo5#wd@x{RV>1(r=_%|xOn+YUKH3D6 zEM+-(Y1Fa%E{U+VS!J{f0H;MnY`y(yT&}x@h)Bi$FYuLVE^m5m)QCohA`g`UB!mf@ zxXmpg^#vS${Kb~o*IK-*A5~Ap;c!*v)L`4|FuUOK+&>HJXB#GT zyp#T(6H5VX(FOn6t$z1OEdNT814o&{VDHREa<8UA+W=)H6}7-#NG#EY>yzdC!xNKb zHVFImNBm^U>1-M_W$7A7+JD^IJYZ#GbV;&^qeqHC4R=ry5QjM<<}D$u+oDP^uS1|a zTRf$VtrMO!hyywF&1?>S(@)4U1{h`ZfyjEyiNj@PMs%p& z*}73ZIjwR4j#Kn0LBvEji994qo${dcmsuHh#EB`f;>f*AssmKDFSvqx;``c;6^;ZC z6j4uT$|Dm$7$jSRx1owiz8QkMLv3ppBBy32ugoLnY=iee>!KyvWAmd8*0H>QtP-Fp zy&=Ua%DOLSqse)@l8)Y#RVx%!QzY0#ENH>Z;1g@$5}PicIUmVm9YTznXgr3iP+@8j zCigdIMj%4q1J4~F%ZTjfmmeSu=WhH+%7pfU@KqdU#Z$5bw&bjAdzq5CrIK27+PM42 z&@%3VZU%fWB+!g{XV8}fdfqm^M@P_Z=XnMm?eajGVeEyC1yzhEO5fu$DSC~3bAlTi zdoBVHjc)cT1TPP#F~hul2y%%h8mrOQE(Dobsj|?8mabo0f}`LQh06&rXznQ|iI zbff~**n$M{+%**EJ{<5uQ>;uMSq^nJ#i}T>=_7iV*A8#q5JCFE<^M1jPqPC3?2+;v z9*M@xhEKXiCoVk+Qxr%;lkRifVd?#yPd|k#y_@RUOuLF`?<3B5)VS+hjTrc&&+XvY zmhCv#uzMMVBU26`zf@*I0&GRFbnTWLO!bl?naeS#tzIiEG}~7WyUH1XL2pZ#bHu2Q zY#V|1naTOE6Bt6tb!LOwH6#8IBtl(d9N5O$FkhWVfi0Dt!xxXeFg2ZfQQ59(qu>u0 zTGU8@v&47rGRl~~=LiY?csK0;%Y2w9$BOmk%{gHn z=nOj19q8kPD?hqYjlWNao{Li&ueHCF$5&NJyiJWPBuK^=X(=iL-sxSN(-}*o;bH#N zpBDI@1h9o&RT~8gug|%2$LBu~l-A0f;P~aB<_Ez%l!{LQDdkho8OWLG?g#ktUabok$Vszig z#WCfSGDQIRoKj?-HBs2rme$Wp4IT3L^LU4$J;$I{mT3~j0F5L;6*!KRmQ zbkte>l4Ut+`UdxD1Ea2oJRLod7wBsiw>>r&i)MiT86x}H%2D=>G$l?yGM5)x?T9oy zG#pGy8+5(ipfM~@M|QkQF@CTDhRf6lp55r_)}Q!6^=-hi_k~w?$AO0>Ve`=gz@a% zS8Q||(0GvUM6!ORO7vDv-C`z?VC@u4TYFuZ3BIg6fvRSDQHB{%*mliQB2I6t{KtP0 z|L)k9EQj{4s6^Pc#tB#)jm_8iXd!ui-vXaLRQB~&s#>IRI1)sN2eBLIKa1EtmK#eG zFV5JiM2eT-+gpvYn-nOjtn=?u-$SQa(nIr-AL2lC1Is^~UV-xK8i@X|s#a1ht@~_t zam|~HH3rZtG6%v!LXQdR17*i^)n)GT#$6r_M6BtsS^3h>Wg3C#Qf2GqsWEG{FTii) z(TJ&-Rt9eM(92X7FN?5B9uZ%3ke9pT3e!dX^g(ldCeCcbb?CDdqL!cW$*=F+JXrMb zE@4hYZFMR3uBq(_b8m;2xb`cakNfGjipwi|=sI!~A7y#wOy)ChQr>4)%;*6dW@!uT_eaQhjjxWtWiOqSw(^cyEXbAK3KJ+a2NwfOX(CTzy*h&S6*PApT=@G7&lJrv*Yk`6d;!MY`a4Tc|#PjhnYrb1W7Y6krRJw z#+8=ByEDKN?qw%lqh>MBWAT85Blo<`Hk=!>Q!B8jn z-nlzMgD`T7Vpn=gti&5Q=KUlh>9M8RQ7e5y@UP5u8mW3vj1n1;Wzm`WpTC zPH8r%f|x-Kk_PG<;HJMIQo1y?ZB?g)P|Qr54Y^(}N~SC9Q;cVZWTcy#d?qRHs46Nl ze|Pb~LI<@l_paH=y$B^GCnsMSn42R||Kx+-c>J)Wv;F=3-dQqLNw7BF*?ttwVskAk pw6qKul%CNEsT+x_GhUN^_`Sn^q7CLFnO8g)y