*.sv;*.svh
//
/*
*/
`
begin_keywords
default_decay_time
default_trireg_strength
delay_mode_distributed
delay_mode_path
delay_mode_unit
delay_mode_zero
end_keywords
pragma
\$
assertkill
assertoff
asserton
bits
bitstoshortreal
cast
comment
countones
dimensions
error
exit
fatal
fell
fullskew
get_coverage
high
history
increment
info
isunbounded
isunknown
left
load_coverage_db
low
onehot
onehot0
past
recrem
removal
right
root
rose
sampled
set_coverage_db_name
shortrealtobits
showvariables
size
stable
timescale
timeskew
typename
typeof
urandom
unit
unpacked_dimensions
upscope
urandom
urandom_range
var
vcdclose
version
warning
accept_on
alias
always_comb
always_ff
always_latch
assert
assume
automatic
before
bind
bins
binsof
break
cell
checker
class
clocking
config
const
constraint
context
continue
cover
covergroup
coverpoint
cross
design
dist
do
endchecker
endclass
endclocking
endconfig
endgroup
endinterface
endpackage
endprogram
endproperty
endproperty
endsequence
endspecify
enum
expect
export
extends
extern
final
first_match
foreach
forever
forkjoin
global
iff
ignore_bins
illegal_bins
implies
import
incdir
inside
instance
interface
intersect
join_any
join_none
liblist
library
local
matches
modport
new
nexttime
noshoowcancelled
null
package
packed
priority
program
property
protected
pulsestyle_onevent
pulsestyle_ondetect
pure
rand
randc
randcase
randsequence
ref
reject_on
restrict
return
s_always
s_eventually
s_nexttime
s_until
s_until_with
sequence
showcancelled
solve
static
struct
super
sync_accept_on
sync_reject_on
tagged
this
throughout
timeprecision
timeunit
type
typedef
union
unique
unique0
until
until_with
untyped
use
var
virtual
void
wait_order
wildcard
with
within
bit
byte
chandle
genvar
int
localparam
logic
longint
shortint
shortreal
string
uwire