\ // /\* \*/ always assign begin case casex casez deassign default defparam disable edge else end endcase endmodule endfunction endprimitive endspecify endtable endtask for force forever for function highz0 highz1 if ifnone initial join large macromodule medium module negedge posedge primitive pull0 pull1 release repeat small specify specparam strong0 strong1 task wait weak0 weak1 while and buf bufif0 bufif1 cmos nand nmos nor not notif0 notif1 or pmos pullup pulldown rcmos rnmos rpmos rtran rtranif0 rtranif1 tran tranif0 tranif1 xnor xor event inout input integer output parameter reg real realtime scalared supply0 supply1 time tri tri0 tri1 triand trior trireg vectored wand wire wor \b[1-9][0-9]*'[bB][0-1_xXzZ?]+\b \b[1-9][0-9]*'[oO][0-7_xXzZ?]+\b \b[1-9][0-9]*'[dD][0-9_xXzZ?]+\b \b[1-9][0-9]*'[hH][0-9a-fA-F_xXzZ?]+\b